拓荊科技:打造半導(dǎo)體制造薄膜沉積設(shè)備領(lǐng)軍企業(yè)

2022-04-14 11:02:32 作者:宋維東

在科創(chuàng)板上市公司中,有不少是在細(xì)分領(lǐng)域掌握關(guān)鍵核心技術(shù)的“硬科技”企業(yè)或處在關(guān)鍵技術(shù)攻關(guān)領(lǐng)域的標(biāo)桿企業(yè)。而在科創(chuàng)板即將上市的“儲(chǔ)備軍”企業(yè)的科創(chuàng)底色也不容小覷,它們是各自領(lǐng)域的領(lǐng)跑者。即將登陸科創(chuàng)板的拓荊科技就是其中代表之一。

拓荊科技董秘趙曦日前在接受中國證券報(bào)記者采訪時(shí)表示,公司將借登陸科創(chuàng)板重要契機(jī),進(jìn)一步提升科技研發(fā)能力,提高產(chǎn)品性能和技術(shù)水平,不斷做強(qiáng)主業(yè),將公司打造成半導(dǎo)體制造薄膜沉積設(shè)備領(lǐng)軍企業(yè)。

技術(shù)優(yōu)勢突出

拓荊科技主要從事高端半導(dǎo)體專用薄膜沉積設(shè)備的研發(fā)、生產(chǎn)以及技術(shù)服務(wù),產(chǎn)品包括等離子體增強(qiáng)化學(xué)氣相沉積(PECVD)設(shè)備、原子層沉積(ALD)設(shè)備和次常壓化學(xué)氣相沉積(SACVD)設(shè)備三個(gè)產(chǎn)品系列。公司是目前國內(nèi)唯一一家產(chǎn)業(yè)化應(yīng)用的集成電路PECVD、SACVD設(shè)備廠商。

拓荊科技產(chǎn)品已廣泛應(yīng)用于中芯國際、華虹集團(tuán)、長江存儲(chǔ)、長鑫存儲(chǔ)、廈門聯(lián)芯、燕東微電子等國內(nèi)晶圓廠14nm及以上制程集成電路制造產(chǎn)線,在不同種類芯片制造產(chǎn)線的多道工藝中得到商業(yè)化應(yīng)用。同時(shí),公司已展開10nm及以下制程產(chǎn)品驗(yàn)證測試,在研產(chǎn)品已發(fā)往國際領(lǐng)先晶圓廠參與其先進(jìn)制程工藝研發(fā)。

薄膜設(shè)備的發(fā)展支撐集成電路制造工藝向更小制程發(fā)展。芯片是微型結(jié)構(gòu)體,其內(nèi)部結(jié)構(gòu)是3D立體式形態(tài),晶圓襯底上的微米或納米級(jí)薄膜構(gòu)成了制作電路的功能材料層,通過與光刻機(jī)、刻蝕機(jī)及其他設(shè)備的搭配使用,制造出芯片的電路結(jié)構(gòu)。

隨著集成電路制造不斷向更先進(jìn)工藝發(fā)展,單位面積集成的電路規(guī)模不斷擴(kuò)大,芯片內(nèi)部立體結(jié)構(gòu)日趨復(fù)雜,所需要的薄膜層數(shù)越來越多,對(duì)絕緣介質(zhì)薄膜、導(dǎo)電金屬薄膜的材料種類和性能參數(shù)不斷提出新的要求。

“在90nm CMOS工藝中,大約需要40道薄膜沉積工序。在3nm FinFET工藝產(chǎn)線,超過100道薄膜沉積工序,涉及的薄膜材料由6種增加到近20種,對(duì)薄膜顆粒的要求也由微米級(jí)提高到納米級(jí)。”趙曦說。

薄膜沉積設(shè)備技術(shù)門檻高,研發(fā)難度大。由于薄膜是芯片結(jié)構(gòu)的功能材料層,在芯片完成制造、封測等工序后會(huì)留存在芯片中,薄膜的技術(shù)參數(shù)直接影響芯片性能。

“生產(chǎn)中不僅需要在成膜后檢測薄膜厚度、均勻性、光學(xué)系數(shù)、機(jī)械應(yīng)力及顆粒度等性能指標(biāo),還需要在完成晶圓生產(chǎn)流程及芯片封裝后對(duì)最終芯片產(chǎn)品進(jìn)行可靠性和生命周期測試,以衡量薄膜沉積設(shè)備是否最終滿足技術(shù)標(biāo)準(zhǔn)。”趙曦表示,下游客戶對(duì)薄膜沉積設(shè)備技術(shù)要求高,新興廠商就需要具備絕對(duì)的技術(shù)能力來獲取客戶信任。

近年來,拓荊科技不斷強(qiáng)化技術(shù)研發(fā),先后承擔(dān)多項(xiàng)國家重大科技專項(xiàng)課題,在半導(dǎo)體薄膜沉積設(shè)備領(lǐng)域積累了多項(xiàng)研發(fā)及產(chǎn)業(yè)化的核心技術(shù)。其中,薄膜工藝設(shè)備設(shè)計(jì)技術(shù)、反應(yīng)模塊架構(gòu)布局技術(shù)、半導(dǎo)體制造系統(tǒng)高產(chǎn)能平臺(tái)技術(shù)等核心技術(shù)不僅解決了半導(dǎo)體制造中納米級(jí)厚度薄膜均勻一致性、薄膜表面顆粒數(shù)量少、快速成膜、設(shè)備產(chǎn)能穩(wěn)定高速等關(guān)鍵難題,還在保證實(shí)現(xiàn)薄膜工藝性能的同時(shí),提升了客戶產(chǎn)線產(chǎn)能,減少客戶產(chǎn)線生產(chǎn)成本。

助力產(chǎn)業(yè)鏈發(fā)展

拓荊科技聚焦的半導(dǎo)體薄膜沉積設(shè)備與光刻機(jī)、刻蝕機(jī)共同構(gòu)成芯片制造三大主設(shè)備。據(jù)了解,薄膜沉積設(shè)備作為晶圓制造的三大主設(shè)備之一,投資規(guī)模占晶圓制造設(shè)備總投資的25%。

經(jīng)過十多年的技術(shù)積累,公司已形成覆蓋二十余種工藝型號(hào)的薄膜沉積設(shè)備產(chǎn)品,可以適配國內(nèi)最先進(jìn)的28/14nm邏輯芯片、19/17nm DRAM芯片和64/128層3D NAND FLASH晶圓制造產(chǎn)線,滿足下游集成電路制造客戶對(duì)不同材料、不同芯片結(jié)構(gòu)薄膜沉積工序的設(shè)備需求。

其中,公司PECVD設(shè)備已全面覆蓋邏輯電路、DRAM存儲(chǔ)、FLASH閃存集成電路制造各技術(shù)節(jié)點(diǎn)產(chǎn)線多種通用介質(zhì)材料薄膜沉積工序,并研發(fā)了LokⅠ、LokⅡ、ACHM、ADCⅠ等先進(jìn)介質(zhì)材料工藝,行業(yè)地位不斷提升。

作為注冊(cè)制改革的“試驗(yàn)田”和定位于支持“硬科技”產(chǎn)業(yè)的融資板塊,科創(chuàng)板成立近三年來,基礎(chǔ)制度不斷完善,上市條件的包容度和適應(yīng)性不斷提升和增強(qiáng),吸引了一大批“硬科技”企業(yè)選擇到科創(chuàng)板發(fā)行融資。

此次拓荊科技登陸科創(chuàng)板募集資金將主要用于開展配適10nm以下制程的PECVD產(chǎn)品研發(fā),開發(fā)Thermal ALD和大腔室PE-ALD以及升級(jí)SACVD設(shè)備,研發(fā)12英寸滿足28nm以下制程工藝需要的SACVD設(shè)備,并借助募集資金開發(fā)中國臺(tái)灣市場。

值得一提的是,拓荊科技上市后將在加強(qiáng)產(chǎn)品技術(shù)研發(fā)的同時(shí),逐步培育和完善國內(nèi)相關(guān)產(chǎn)業(yè)鏈。公司通過與國內(nèi)供應(yīng)商的深度合作與磨合,推動(dòng)設(shè)備關(guān)鍵部件國產(chǎn)化開發(fā)及驗(yàn)證,提高設(shè)備零部件的產(chǎn)品品質(zhì)。同時(shí),公司將利用國產(chǎn)設(shè)備廠商的綜合優(yōu)勢,為客戶提供定向的技術(shù)開發(fā)與服務(wù),助力半導(dǎo)體產(chǎn)業(yè)鏈發(fā)展,保障產(chǎn)業(yè)鏈的技術(shù)先進(jìn)性。

掃一掃分享本頁